Questões sobre Eletrônica analógica e digital

Lista completa de Questões sobre Eletrônica analógica e digital para resolução totalmente grátis. Selecione os assuntos no filtro de questões e comece a resolver exercícios.

I. Em verificação de caixa preta (“black-box verification”), a verificação funcional é realizada sem conhecimento da implementação do RTL.

II. Em verificação de caixa branca (“white-box verification”), a verificação funcional é realizada tendo visibilidade completa do projeto digital implementado.

Sobre as afirmações acima, pode-se dizer que:

  • A. Em verificação de caixa preta, observamos as entradas e saídas de todos os módulos da hierarquia do design.
  • B. Um testbench utilizando a metodologia de caixa branca pode ser reutilizado em uma implementação diferente do mesmo projeto.
  • C. Um contador problemático pode ser verificado sem aumento de risco utilizando a metodologia de caixa preta, mesmo que ele não seja facilmente controlável ou seus valores observáveis.
  • D. Uma metodologia de teste correta tenta sempre que possível utilizar a metodologia de caixa-preta, mas utilizando metodologia de caixa-branca em partes de risco maior.
  • E. Verificação de caixa branca deve ser utilizada se todos os testes para a validação funcional do projeto forem escritos durante a implementação do projeto.

Sobre cobertura de parâmetros de projeto em RTL parametrizável no nível mais alto, é correto afirmar.

  • A. Deve-se testar o DUV somente para os valores padrão.
  • B. Deve-se testar o DUV para os valores padrão, mas variando-se um parâmetro de cada vez.
  • C. Deve-se testar o DUV comparando-se o comportamento do circuito duas instâncias do circuito com parâmetros diferentes.
  • D. Deve-se testar o DUV considerando-se todas variações de parâmetros que são válidas para uso.
  • E. Deve-se testar o DUV sem considerar os parâmetros.

Em uma declaração de um “covergroup”, a seguinte definição de “bins” foi encontrada.

bins meus_bins [4] = { [1:10], 1, 4, 7};

Como os bins são distribuídos?

  • A. {1,2,3,4,5,6,7,8,9,10}, {1}, {4}, {7}.
  • B. {2,3,5,6,8,9,10},{1},{4},{7}.
  • C. {1,10},{1},{4},{7}.
  • D. {1,2,3},{4,5,6},{7,8,9},{10,1,4,7}.
  • E. {1,2,3},{4,5,6},{7,8,9,10},{1,4,7}.

Observe a seguinte declaração de covergroup em SystemVerilog 2009.

covergroup meu_cg @(posedge clk);

coverpoint v

{

bins b1 = (1 => 2), ([3:4]=>5,6);

bins b2[] = (1 => 2 => 3), ([3:4]=>5,6);

bins b3 = (5 [*2]);

}

endgroup

Sobre a definição acima é correto afirmar:

  • A. O primeiro comando bins associa as seguintes sequências a b1: (1 => 2), (3 => 5), (4 => 5), (6).
  • B. O segundo comando bins associa as seguintes sequências a b2: (1 => 2), (3 => 5), (4 => 5), (4 => 6).
  • C. O terceiro comando bins associa as seguintes sequências a b3: (5), (5 => 5).
  • D. O primeiro comando bins associa individualmente cada sequência a b1.
  • E. Cada sequência definida em b2 é individualmente acessada.

Qual a desvantagem de se utilizar uma variável local (“local variable”) em uma asserção em SVA, como no exemplo que se segue?

property p;

bit [3:0] x;

@(posedge clk)

!a [*0:$] ##1 (a,x = d) ##1 !a [*0:$] |=> (a && x == c)

endproperty

  • A. É mais confuso de se entender a expressão em SVA.
  • B. A variável local vai gerar não determinismo no processamento do SVA.
  • C. A variável local pode requerer uma quantidade ilimitada de armazenamento.
  • D. A variável local não é interpretada corretamente em todos os simuladores comerciais
  • E. Nenhuma das respostas acima.

Sobre o efeito de registradores que são escritos por software sobre o testbench, podemos afirmar:

I. O número de ciclos de simulação até se chegar a um ponto de falha pode ser muito grande.

II. Os testbenches tem que considerar todos as variações possíveis de valores nesses registradores, se eles controlarem o comportamento do DUV.

III. A integração de módulos programáveis por software devem ser testadas utilizando-se rotinas de teste de software.

  • A. Somente I é verdadeiro.
  • B. Somente II é verdadeiro.
  • C. Somente III é verdadeiro.
  • D. Somente I e II são verdadeiros.
  • E. Todas as afirmativas acima são verdadeiras.

Julgue os próximos itens, acerca de eletricidade e eletrônica analógica e digital.

As unidades de medida derivadas do Sistema Internacional de Unidades utilizadas para indutância e admitância são, respectivamente, H (henry) e S (siemens).

  • C. Certo
  • E. Errado

Julgue os próximos itens, acerca de eletricidade e eletrônica analógica e digital.

  • C. Certo
  • E. Errado

Julgue os próximos itens, acerca de eletricidade e eletrônica analógica e digital.

A tabela ilustrada abaixo é a tabela-verdade de uma porta lógica ou-exclusivo, com entradas A e B.

  • C. Certo
  • E. Errado
Provas e Concursos

O Provas e Concursos é um banco de dados de questões de concursos públicos organizadas por matéria, assunto, ano, banca organizadora, etc

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Provas e Concursos
0%
Aguarde, enviando solicitação!

Aguarde, enviando solicitação...