Questões de Engenharia de Telecomunicações do ano 2012

Lista completa de Questões de Engenharia de Telecomunicações do ano 2012 para resolução totalmente grátis. Selecione os assuntos no filtro de questões e comece a resolver exercícios.

Sobre cobertura de parâmetros de projeto em RTL parametrizável no nível mais alto, é correto afirmar.

  • A. Deve-se testar o DUV somente para os valores padrão.
  • B. Deve-se testar o DUV para os valores padrão, mas variando-se um parâmetro de cada vez.
  • C. Deve-se testar o DUV comparando-se o comportamento do circuito duas instâncias do circuito com parâmetros diferentes.
  • D. Deve-se testar o DUV considerando-se todas variações de parâmetros que são válidas para uso.
  • E. Deve-se testar o DUV sem considerar os parâmetros.

Em uma declaração de um “covergroup”, a seguinte definição de “bins” foi encontrada.

bins meus_bins [4] = { [1:10], 1, 4, 7};

Como os bins são distribuídos?

  • A. {1,2,3,4,5,6,7,8,9,10}, {1}, {4}, {7}.
  • B. {2,3,5,6,8,9,10},{1},{4},{7}.
  • C. {1,10},{1},{4},{7}.
  • D. {1,2,3},{4,5,6},{7,8,9},{10,1,4,7}.
  • E. {1,2,3},{4,5,6},{7,8,9,10},{1,4,7}.

Observe a seguinte declaração de covergroup em SystemVerilog 2009.

covergroup meu_cg @(posedge clk);

coverpoint v

{

bins b1 = (1 => 2), ([3:4]=>5,6);

bins b2[] = (1 => 2 => 3), ([3:4]=>5,6);

bins b3 = (5 [*2]);

}

endgroup

Sobre a definição acima é correto afirmar:

  • A. O primeiro comando bins associa as seguintes sequências a b1: (1 => 2), (3 => 5), (4 => 5), (6).
  • B. O segundo comando bins associa as seguintes sequências a b2: (1 => 2), (3 => 5), (4 => 5), (4 => 6).
  • C. O terceiro comando bins associa as seguintes sequências a b3: (5), (5 => 5).
  • D. O primeiro comando bins associa individualmente cada sequência a b1.
  • E. Cada sequência definida em b2 é individualmente acessada.

Qual a desvantagem de se utilizar uma variável local (“local variable”) em uma asserção em SVA, como no exemplo que se segue?

property p;

bit [3:0] x;

@(posedge clk)

!a [*0:$] ##1 (a,x = d) ##1 !a [*0:$] |=> (a && x == c)

endproperty

  • A. É mais confuso de se entender a expressão em SVA.
  • B. A variável local vai gerar não determinismo no processamento do SVA.
  • C. A variável local pode requerer uma quantidade ilimitada de armazenamento.
  • D. A variável local não é interpretada corretamente em todos os simuladores comerciais
  • E. Nenhuma das respostas acima.

Sobre o efeito de registradores que são escritos por software sobre o testbench, podemos afirmar:

I. O número de ciclos de simulação até se chegar a um ponto de falha pode ser muito grande.

II. Os testbenches tem que considerar todos as variações possíveis de valores nesses registradores, se eles controlarem o comportamento do DUV.

III. A integração de módulos programáveis por software devem ser testadas utilizando-se rotinas de teste de software.

  • A. Somente I é verdadeiro.
  • B. Somente II é verdadeiro.
  • C. Somente III é verdadeiro.
  • D. Somente I e II são verdadeiros.
  • E. Todas as afirmativas acima são verdadeiras.

Há várias vantagens em se modular uma portadora de frequência mais alta com um sinal de voz, cuja banda esteja limitada entre 100 Hz e 10 kHz, a fi m de transmiti-lo utilizando uma antena que o irradie eletromagneticamente para o espaço livre. Há também uma questão de ordem prática que difi cultaria muito a transmissão direta do sinal de voz, sem modulação:

  • A.

    a potência do transmissor teria que ser maior que 1.000 dBm (muito alta).

  • B.

    a antena teria que ter mais de 300 km de comprimento (muito grande).

  • C.

    a velocidade de transmissão no espaço seria menor que 30.000 m/s (muito baixa).

  • D.

    o cabo de cobre que ligaria o transmissor à antena teria que ter um diâmetro maior que 10 cm (muito grande).

  • E.

    o retardo (latência) entre o transmissor e a antena seria maior que 100 ms (muito grande).

Em um projeto de transmissão rádio ponto-a-ponto, sem obstáculos, a 0,4 GHz, considerando que as antenas estão alinhadas a uma distância de 10 km, que a atenuação nos cabos e conectores é de 5,5 dB em cada lado, que o ganho da antena de transmissão em relação a uma antena isotrópica é de 10 dB, que a potência do transmissor é de 100 mW, que a sensibilidade do receptor é de -84 dBm (menos oitenta e quatro), assinale a opção da antena cujo ganho mais se aproxime do mínimo necessário para que o sistema funcione, deixando uma margem de garantia de 10 dB. [Considere log (2) = 0,3]

  • A.

    0 dBi

  • B.

    4 dBi

  • C.

    8 dBi

  • D.

    12 dBi

  • E.

    16 dBi

Em um tribunal instalou-se uma rede local sem fio baseada na tecnologia WiFi. Notou-se, entretanto, que, na sala de um juiz, localizada a 50 m do ponto de acesso WiFi, a vazão de dados estava insatisfatória.

Julgue os seguintes itens, acerca de ações para a resolução do problema referido na situação hipotética acima descrita.

Considere que, na sala em questão, o sinal estava sendo recebido pela estação portátil com potência de 10-13 W; a sensitividade mínima do equipamento era de -95 dBm; a antena do ponto de acesso era onidirecional e tinha ganho de 2 dB. Nessas condições, se a antena fosse substituída por uma antena diretiva com ganho de 10 dB, apontada para a sala do juiz, obter-se-ia uma comunicação satisfatória nessa sala.

  • C. Certo
  • E. Errado

Julgue os itens que se seguem a respeito de técnicas de transmissão avançadas, que são empregadas em sistemas modernos de comunicação para minimizar problemas encontrados no canal sem fio.

  • C. Certo
  • E. Errado

Julgue os itens que se seguem a respeito de técnicas de transmissão avançadas, que são empregadas em sistemas modernos de comunicação para minimizar problemas encontrados no canal sem fio.

Em um sistema MIMO (multiple input multiple output) que utiliza 4 antenas de transmissão e 8 antenas de recepção, podem ser enviados até 4 fluxos de dados independentes, por meio de multiplexação espacial.

  • C. Certo
  • E. Errado
Provas e Concursos

O Provas e Concursos é um banco de dados de questões de concursos públicos organizadas por matéria, assunto, ano, banca organizadora, etc

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Provas e Concursos
0%
Aguarde, enviando solicitação!

Aguarde, enviando solicitação...