Lista completa de Questões de Engenharia de Telecomunicações da FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) para resolução totalmente grátis. Selecione os assuntos no filtro de questões e comece a resolver exercícios.
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Quais das seguintes chamadas de função não podem aceitar eventos de clock de acordo com o padrão de System Verilog 2009?
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Em SystemVerilog 2009, se a expressão não temporal amostrada a é avaliada como verdadeira nos ciclos 1, 3, 8, 13 e 14, e a expressão não temporal amostrada b é avaliada como verdadeira nos ciclos 1, 2, 3, 4, 5, 6, 8, 9, 10, 11, 12 e 14, em quais ciclos a expressão em SVA a intersect b será avaliada como verdadeira?
Engenharia de Telecomunicações - Geral - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Em SystemVerilog 2009, se a expressão amostrada a é avaliada como verdadeira nos ciclos 1, 2 e 8; a expressão amostrada b é avaliada como verdadeira nos ciclos 9, 10, 11, 12, 13 e 14; a expressão amostrada c é avaliada como verdadeira nos ciclos 2, 3 e 8; a expressão d é avaliada como verdadeira nos ciclos 3, 4, 5, 6, 10, 11, 12, 13 e 14; e a expressão amostrada e é avaliada como verdadeira nos ciclos 4, 5, 6, 12, 13 e 14; em quais ciclos a expressão em SVA (a ##[1:5] b) and (c ##2 d ##2 e) será avaliada como verdadeira?
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
2:10] ##1 c é equivalente a a ##1 ((1[*0:$] ##1 b) [*2:10]) ##1 c
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Dado que em um código a nível de RTL, a operação:
if (a ^ b) foi erroneamente trocada por: if (a | b) Que tipo de metodologia de teste e métrica de cobertura de teste provavelmente conseguirá detectar o problema?Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
I. Em verificação de caixa preta (black-box verification), a verificação funcional é realizada sem conhecimento da implementação do RTL.
II. Em verificação de caixa branca (white-box verification), a verificação funcional é realizada tendo visibilidade completa do projeto digital implementado. Sobre as afirmações acima, pode-se dizer que:Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Sobre cobertura de parâmetros de projeto em RTL parametrizável no nível mais alto, é correto afirmar.
Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Em uma declaração de um covergroup, a seguinte definição de bins foi encontrada.
bins meus_bins [4] = { [1:10], 1, 4, 7}; Como os bins são distribuídos?Engenharia de Telecomunicações - Eletrônica analógica e digital - FUNRIO Fundação de Apoio a Pesquisa, Ensino e Assistência (FUNRIO) - 2012
Observe a seguinte declaração de covergroup em SystemVerilog 2009.
covergroup meu_cg @(posedge clk); coverpoint v { bins b1 = (1 => 2), ([3:4]=>5,6); bins b2[] = (1 => 2 => 3), ([3:4]=>5,6); bins b3 = (5 [*2]); } endgroup Sobre a definição acima é correto afirmar:{TITLE}
{CONTENT}
{TITLE}
Aguarde, enviando solicitação...